Intel Innovation 2023: Memberdayakan Developer untuk Menyebarluaskan AI

AI membangkitkan ‘Siliconomy’, era baru ekspansi global yang dipicu oleh keajaiban silicon dan software

  • Bagikan

JAKARTA , (Waspada); Indonesia, 20 September 2023 – Pada acara Intel Innovation tahunan ketiganya, Intel meluncurkan serangkaian teknologi untuk menyebarluaskan kecerdasan buatan dan membuatnya lebih mudah diakses di semua beban kerja, mulai dari klien dan edge hingga jaringan dan cloud.

“AI mewakili pergeseran generasi, sehingga memunculkan era baru ekspansi global di mana komputasi menjadi lebih penting untuk masa depan yang lebih baik bagi semua orang,” kata CEO Intel Pat Gelsinger. “Bagi para developer, hal ini menciptakan peluang sosial dan bisnis yang sangat besar untuk mendorong batasan dari apa yang mungkin dilakukan, untuk menciptakan solusi terhadap tantangan terbesar dunia dan untuk meningkatkan kehidupan setiap orang di planet ini.”

Intel Innovation 2023: Memberdayakan Developer untuk Menyebarluaskan AI
On Sept. 19, 2023, at Intel Innovation, the company shared that its Intel Xeon CPU Max Series is the only CPU to achieve 99.9% accuracy for GPT-J from MLPerf results. (Credit: Intel Corporation)

Lebih lanjut tentang Intel Innovation 2023 (Press Kit)

Dalam presentasi keynote-nya untuk membuka acara yang menyasar para developer itu, Gelsinger menunjukkan bagaimana Intel menghadirkan kemampuan AI di seluruh produk hardware-nya dan membuatnya dapat diakses melalui solusi software multi-arsitektur yang terbuka. Dia juga menyoroti bagaimana AI membantu mendorong “Siliconomy,” sebuah “pertumbuhan ekonomi yang dimungkinkan oleh keajaiban silikon dan software.” Saat ini, silikon menyumbang industri senilai US$574 miliar yang pada gilirannya menggerakkan ekonomi teknologi global yang bernilai hampir US$8 triliun.

Kemajuan baru dalam Silikon, Packaging, dan Solusi Multi-Chiplet

Pekerjaan ini dimulai dengan inovasi silikon. Program pengembangan proses five-nodes-in-four-years dari Intel berjalan dengan baik, kata Gelsinger, di mana Intel 7 sudah berada dalam tahap manufaktur bervolume tinggi, Intel 4 siap diproduksi, dan Intel 3 sudah on-track untuk akhir tahun ini.

Gelsinger juga menunjukkan wafer Intel 20A dengan test chip pertama untuk prosesor Intel Arrow Lake, yang ditujukan untuk pasar komputasi klien pada tahun 2024. Intel 20A akan menjadi process node pertama yang menyertakan PowerVia, teknologi pengiriman daya backside dari Intel, dan desain transistor gate-all-around baru yang disebut RibbonFET. Intel 18A, yang juga memanfaatkan PowerVia dan RibbonFET, tetap berada pada jalur siap produksi pada paruh kedua tahun 2024.

Cara lain Intel untuk mendorong kemajuan Moore’s Law adalah dengan material baru dan teknologi pengemasan baru, seperti substrat kaca – sebuah terobosan yang diumumkan Intel pada minggu ini. Ketika diperkenalkan pada akhir dekade ini, substrat kaca akan memungkinkan pengembangan transistor yang berkelanjutan pada suatu paket untuk membantu memenuhi kebutuhan beban kerja yang data-intensive dan berkinerja tinggi seperti AI dan akan menjaga Moore’s Law melampaui tahun 2030.

Intel Innovation 2023: Memberdayakan Developer untuk Menyebarluaskan AI
On Sept. 19, 2023, at Intel Innovation, the company shared that its Intel Xeon CPU Max Series is the only CPU to achieve 99.9% accuracy for GPT-J from MLPerf results. (Credit: Intel Corporation)

Intel juga menampilkan paket test chip yang dibuat dengan Universal Chiplet Interconnect Express (UCIe). Gelombang berikutnya dari Moore’s Law akan hadir dengan paket multi-chiplet, kata Gelsinger, yang akan hadir lebih cepat jika standar terbuka dapat mengurangi hambatan dalam pengintegrasian kekayaan intelektual. Dibentuk tahun lalu, standar UCIe akan memungkinkan chiplet dari vendor berbeda untuk bekerja sama, sehingga memungkinkan desain baru untuk perluasan beban kerja AI yang beragam. Spesifikasi terbuka ini didukung oleh lebih dari 120 perusahaan.

Test chip ini menggabungkan chiplet Intel UCIe IP yang dibuat pada Intel 3 dan sebuah chiplet IP Synopsys UCIe yang dibuat pada process node TSMC N3E. Chiplet-chiplet tersebut dihubungkan menggunakan teknologi pengemasan canggih embedded multi-die interconnect bridge (EMIB). Demonstrasi ini menyoroti komitmen TSMC, Synopsys, dan Intel Foundry Services untuk mendukung ekosistem chiplet berbasis standar terbuka dengan UCIe.

Meningkatkan Performa dan Memperluas AI ke Mana Saja

Gelsinger menyoroti beragam teknologi AI yang tersedia bagi developer di seluruh platform Intel saat ini – dan bagaimana berbagai teknologi tersebut akan meningkat secara dramatis pada tahun mendatang.

Hasil kinerja inferensi AI MLPerf baru-baru ini semakin memperkuat komitmen Intel untuk menangani setiap fase rangkaian AI, termasuk AI generatif dan large language model yang terbesar dan paling menantang. Hasilnya juga menyoroti akselerator Intel Gaudi2 sebagai satu-satunya alternatif yang layak yang ada di pasar untuk kebutuhan komputasi AI. Gelsinger mengumumkan sebuah superkomputer AI terbesar akan dibangun sepenuhnya dengan prosesor Intel Xeon dan 4.000 akselerator hardware AI Intel Gaudi2, dengan Stability AI sebagai pelanggan utama.

Zhou Jingren, chief technology officer Alibaba Cloud, menjelaskan bagaimana Alibaba menerapkan prosesor 4th Gen Intel® Xeon® dengan akselerasi AI bawaan pada “AI generatif dan large language model kami, Tongyi Foundation Models dari Alibaba Cloud.” Teknologi Intel, katanya, menghasilkan “peningkatan waktu respons yang luar biasa, dengan rata-rata akselerasi 3x.”1

Intel juga melakukan pratinjau terhadap prosesor Intel Xeon generasi berikutnya, mengungkapkan bahwa prosesor 5th Gen Intel® Xeon® akan menghadirkan kombinasi peningkatan kinerja dan memori yang lebih cepat, sekaligus menggunakan jumlah daya yang sama, ke pusat data dunia saat diluncurkan pada 14 Desember. Sierra Forest, dengan efisiensi E-core dan hadir pada paruh pertama tahun 2024, akan memberikan kepadatan rak 2,5x lebih baik dan kinerja per watt 2,4x lebih tinggi dibandingkan 4th Gen Xeon dan akan menyertakan versi dengan 288 core2. Dan Granite Rapids, dengan performa P-core, akan menyusul peluncuran Sierra Forest, menawarkan performa AI 2x hingga 3x lebih baik dibandingkan dengan 4th Gen Xeon.2

Menyusul di 2025, E-core Xeon generasi berikutnya, dengan nama kode Clearwater Forest, akan hadir pada process node Intel 18A.

Intel Innovation 2023: Memberdayakan Developer untuk Menyebarluaskan AI

Memperkenalkan AI PC dengan prosesor Intel Core Ultra

AI juga akan menjadi lebih personal. “AI akan secara mendasar mentransformasi, membentuk kembali, dan merestrukturisasi pengalaman PC – meningkatkan produktivitas dan kreativitas personal melalui kekuatan cloud dan PC yang bekerja sama,” kata Gelsinger. “Kami mengantarkan era baru AI PC.”

Pengalaman PC baru ini hadir dengan prosesor Intel Core Ultra mendatang, dengan nama kode Meteor Lake, yang menampilkan neural processing unit terintegrasi pertama dari Intel, atau NPU, untuk akselerasi AI yang hemat daya dan inferensi lokal pada PC. Gelsinger mengonfirmasi Core Ultra juga akan diluncurkan pada 14 Desember.

Core Ultra mewakili titik perubahan dalam peta jalan prosesor klien Intel: Ini adalah desain chiplet klien pertama yang dimungkinkan oleh teknologi pengemasan Foveros. Selain NPU dan kemajuan besar dalam performa hemat daya berkat teknologi proses Intel 4, prosesor baru ini menghadirkan performa grafis tingkat diskrit dengan grafis Intel® Arc™ onboard.

Di atas panggung, Gelsinger menunjukkan serangkaian kasus penggunaan AI PC terbaru, dan Jerry Kao, chief operating officer Acer, memberikan gambaran sekilas tentang laptop Acer mendatang yang didukung oleh Core Ultra. “Kami bersama tim Intel telah mengembangkan serangkaian aplikasi Acer AI untuk memanfaatkan platform Intel Core Ultra,” kata Kao, “berkembang dengan toolkit OpenVINO dan library AI yang dikembangkan bersama untuk menghidupkan hardware.”

Menempatkan Para Developer di Kursi Penggerak Siliconomy

“AI di masa depan harus memberikan lebih banyak akses, skalabilitas, visibilitas, transparansi, dan kepercayaan kepada seluruh ekosistem,” kata Gelsinger.

Untuk membantu para developer meraih masa depan ini, Intel mengumumkan:

Ketersediaan umum Intel Developer Cloud: Intel Developer Cloud membantu developer mengakselerasi AI menggunakan inovasi hardware dan software Intel terbaru – termasuk prosesor Intel Gaudi2 untuk deep learning – dan menyediakan akses ke platform hardware Intel terbaru, seperti 5th Gen Intel® Xeon® Scalable Processor dan Intel® Data Center GPU Max Series 1100 dan 1550. Saat menggunakan Intel Developer Cloud, para developer dapat membangun, menguji, dan mengoptimalkan aplikasi AI dan HPC. Mereka juga dapat menjalankan AI training skala kecil hingga besar, pengoptimalan model, dan beban kerja inferensi yang diterapkan dengan performa dan efisiensi. Intel Developer Cloud didasarkan pada fondasi open software dengan oneAPI – sebuah model pemrograman multiarsitektur dan multivendor terbuka – untuk memberikan pilihan hardware dan kebebasan dari model pemrograman proprietary untuk mendukung akselerasi komputasi dan penggunaan kembali kode serta portabilitas.
Rilis toolkit Intel Distribution of OpenVINO 2023.1: OpenVINO adalah runtime inferensi dan penerapan AI pilihan bagi para developer di platform klien dan edge. Rilis ini mencakup pre-trained model yang dioptimalkan untuk integrasi di seluruh sistem operasi dan berbagai solusi cloud, termasuk banyak model AI generatif, seperti model Llama 2 dari Meta. Di atas panggung, perusahaan-perusahaan termasuk ai.io dan Fit:match mendemonstrasikan bagaimana mereka menggunakan OpenVINO untuk mempercepat aplikasi mereka: ai.io untuk mengevaluasi kinerja setiap atlet potensial; Fit:match untuk merevolusi industri ritel dan kesehatan guna membantu konsumen menemukan pakaian yang paling pas.

Project Strata, dan pengembangan platform software edge-native: Platform ini diluncurkan pada tahun 2024 dengan blok bangunan modular, layanan premium, dan penawaran dukungan. Ini adalah pendekatan horizontal untuk meningkatkan infrastruktur yang diperlukan untuk intelligent edge dan hybrid AI, serta akan menyatukan ekosistem Intel dan aplikasi vertikal pihak ketiga. Solusi ini akan memungkinkan para developer untuk membangun, menyebarkan, menjalankan, mengelola, menghubungkan dan mengamankan infrastruktur dan aplikasi edge terdistribusi.

Ini hanyalah awal untuk berbagai berita dari Intel Innovation. Saksikan di Intel Newsroom pada hari ini (20 September) pukul 09.30 PDT/ 23.30 WIB untuk mendengarkan Chief Technology Officer Intel Greg Lavender memaparkan mengenai cara-cara lain yang dilakukan Intel dalam membuka peluang AI bagi para developer dan mempercepat konvergensi AI dan keamanan.(m28)

Intel Innovation 2023: Memberdayakan Developer untuk Menyebarluaskan AI
At Intel Innovation on Sept. 19, 2023, Intel revealed new details on next-generation Intel Xeon processors. The company said 5th Gen Intel Xeon processors will bring a combination of performance improvements and faster memory, while using the same amount of power as the previous generations, to the world’s data centers when they launch Dec. 14. (Credit: Intel Corporation)
  • Bagikan